FPGA之Verilog点灯小程序

2023-12-25

FPGA之Verilog点灯小程序
话不多说,直接做介绍>
首先个人用的是QuartusII11.0,开发板用的是CycloneIV的EP4CE6E22C8N芯片。
led灯使用的是低电平有效;,要执行的功能是:上电灯就亮,这里使用的是四个led灯;,具体代码如下>
由于功能上是只要上电就亮,所以就不需要时序控制了,那么就是一个组合逻辑电路;,module led_light(,led,);
output[3:0] led;
reg[3:0] led;
always@(*)begin,led = 4'b0000;
end,endmodule,

文章推荐

相关推荐