FPGA高效实现IIC协议,精简设计,助力您的智能项目迅速启航!

2024-03-04

一. 简介
今天给大家带来的是IIC通信,IIC协议应用非常广泛,例如与MPU6050进行通信,配置OV5640摄像头、驱动OLED屏幕等等,都需要使用到IIC协议,所以掌握它是非常必要的,废话不多说,接着往下看。
二. IIC简介
IIC协议分为主机和从机,所有的请求都是由主机发出,从机进行响应,从机是没有办法对主机进行读或写的。IIC协议共有两根线,数据线SDA和时钟线SCL,两根线就可以完成所有的通信请求,简直是太给力了。
三. IIC协议
终于到了IIC协议的部分。IIC协议简单来说,共有五种状态,这五种状态的有序组合就组成了完整的IIC通信,学习IIC协议,就是学习这五种状态。
空闲态: SCL 和 SDA 都为高电平,不进行通信的时候。
起始态:在SCL为高电平的时候,将SDA拉低,主机通知从机,开始进行通信。
数据传输态:数据传输态,又可以分为读和写两个部分,过程都是一样的,就合在一起了,都是在SCL为低电平的时候,SDA将数据发送,在SCL为高电平的时候,将数据接收。
(非)应答态:数据传输态完成后,必须接一个应答态或者非应答态,为了确定对方接收到了数据。在SCL为高电平的时候,检测到SDA为低电平,则为应答,否则为非应答。
停止态:一次数据传输完成,由主机发起,在SCL为高电平的时候,SDA由低电平变成高电平。
了解了这五种状态后,接下来就要学习如何使用这五种状态来进行读写操作了。
(一) IIC写操作
下面就是一个完整的写操作,共包含三次数据传输态,第一次发送的是从机地址 + 0,第二次发送的是寄存器的地址,第三次写的是数据,写入寄存器中的数据。从机地址一般为7bit,与另外一bit共同组成8bit,0表示写,1表示读。
FPGA高效实现IIC协议,精简设计,助力您的智能项目迅速启航! (https://ic.work/) 可编辑器件 第1张
FPGA高效实现IIC协议,精简设计,助力您的智能项目迅速启航! (https://ic.work/) 可编辑器件 第2张
(二)IIC读操作
读操作要比写操作复杂一点,需要的状态多一些。一共有五个数据传输态,状态图如下了。
FPGA高效实现IIC协议,精简设计,助力您的智能项目迅速启航! (https://ic.work/) 可编辑器件 第3张
FPGA高效实现IIC协议,精简设计,助力您的智能项目迅速启航! (https://ic.work/) 可编辑器件 第4张
上面的流程图都是对从机的地址为7位以及从机的寄存器地址为8位的操作。
四. Verilog代码实现
有了上面的各个状态中,SDA和SCL的变换关系,以及读写的序列,就可以很方便的来写程序啦。
1. 首先,当然离不开状态机,根据上面叙述的五种状态,编写状态机,状态机中,将数据传输态分成了读和写两种状态。有了各个状态,操作SDA和SCL两根线不是易如反掌嘛!
2.状态机的跳转条件如下,跳转条件和上面叙述的一样。单独看这个有点难懂,有些变量不明白其具体含义,可以结和仿真图形和完整代码进行理解。
各个部分实现的详细代码,就不列举出来啦,代码总计280多行,也不算多。通过本IIC模块,可以驱动OV5640摄像头,MPU6050模块和0.96寸OLED屏幕等等,后续会基于此模块,来驱动这些外设。
五. testbeach编写
还是按照流程走,编写完模块后,进行一下仿真,还真有错误,幸亏仿真了,哈哈哈。

文章推荐

相关推荐